DRAF: A Low-Power DRAM-Based Reconfigurable Acceleration Fabric

Abstract

The DRAM-Based Reconfigurable Acceleration Fabric (DRAF) uses commodity DRAM technology to implement a bit-level, reconfigurable fabric that improves area density by 10 times and power consumption by more than 3 times over conventional field-programmable gate arrays. Latency overlapping and multicontext support allow DRAF to meet the performance and density requirements of demanding applications in datacenter and mobile environments.

Christos Kozyrakis
Christos Kozyrakis
Professor, EE & CS

Stanford University